Ero sivun ”Digitaalipiirit” versioiden välillä

Poistettu sisältö Lisätty sisältö
Raimondo (keskustelu | muokkaukset)
Ei muokkausyhteenvetoa
Raimondo (keskustelu | muokkaukset)
Ei muokkausyhteenvetoa
Rivi 3:
<Big>Tämä kirja käsittelee digitaalitekniikan perusteita. Digitaalitekniikan perusteet liittyvät tiukasti diskreettiin matematiikkaan, mutta tämän kirjan lukeminen ei kuitenkaan edellytä mitään esitietoja asiasta. Kaikki tarvittavat esitiedot esitetään tässä kirjassa.
 
Käytännön komponentteja käsittelevässä osassa "digitaalielektroniikka" oletetaan kuitenkin sähkötekniikan peruskäsitteet virta, jännite ja resistanssi tutuiksi. Lukija voi opiskella ja/tai kerrata nämä asiat piiriteoriasta ([http://en.wikibooks.org/wiki/Circuit_Theory Circuit Theory]). Tätä kirjaan täydentäväksi laboratoriotyömoduuliksi sopii hyvin esimerkiksi [[VHDL-kieli FPGA-suunnittelussa - perusteet ja käytännöt]].</Big>
 
[[VHDL-kieli FPGA-suunnittelussa - perusteet ja käytännöt]] soveltuu hyvin tämän kirjan laboratoriomoduuliks.</Big>
 
{{Käännettävä}}